Publications

Download BibTeX.

2023
Approximate Computing: Challenges, Methodologies, Algorithms, and Architectures for Dependable and Secure Systems.
Partha Pratim Pande.
IEEE Design \& Test
2023
Special Issue on Testability and Dependability of Artificial Intelligence Hardware.
Partha Pratim Pande.
IEEE Design \& Test
2023
Processing-in-memory (PIM)-based Manycore Architecture for Training Graph Neural Networks.
Partha P Pande.
IEEE
2023
Dynamic Task Remapping for Reliable CNN Training on ReRAM Crossbars.
Chung-Hsuan Tung, Biresh Kumar Joardar, Partha Pratim Pande, Janardhan Rao Doppa, Hai Helen Li, and Krishnendu Chakrabarty.
IEEE
2023
GraphIte: Accelerating Iterative Graph Algorithms on ReRAM Architectures via Approximate Computing.
Dwaipayan Choudhury, Ananth Kalyanaraman, and Partha Pande.
IEEE
2023
Achieving Datacenter-scale Performance through Chiplet-based Manycore Architectures.
Harsh Sharma, Sumit K Mandal, Janardhan Rao Doppa, Umit Ogras, and Partha Pratim Pande.
IEEE
2023
Dynamic Power Management in Large Manycore Systems: A Learning-to-Search Framework.
Gaurav Narang, Aryan Deshwal, Raid Ayoub, Michael Kishinevsky, Janardhan Rao Doppa, and Partha Pratim Pande.
ACM Transactions on Design Automation of Electronic Systems
2023
40th IEEE VLSI Test Symposium 2022.
Partha Pratim Pande.
IEEE Design \& Test
2023
Attacking Memristor-Mapped Graph Neural Network by Inducing Slow-to-Write Errors.
Ching-Yuan Chen, Biresh Kumar Joardar, Janardhan Rao Doppa, Partha Pratim Pande, and Krishnendu Chakrabarty.
IEEE
2023
The 2022 Symposium on Integrated Circuits and Systems Design (SBCCI 2022).
Partha Pratim Pande.
IEEE Design \& Test
2023
Florets for Chiplets: Data Flow-aware High-Performance and Energy-efficient Network-on-Interposer for CNN Inference Tasks.
Harsh Sharma, Lukas Pfromm, Rasit Onur Topaloglu, Janardhan Rao Doppa, Umit Y Ogras, Ananth Kalyanraman, and Partha Pratim Pande.
ACM Transactions on Embedded Computing Systems
2023
Uncertainty-Aware Online Learning for Dynamic Power Management in Large Manycore Systems.
Gaurav Narang, Raid Ayoub, Michael Kishinevsky, Janardhan Rao Doppa, and Partha Pratim Pande.
IEEE
2023
Energy-Efficient ReRAM-Based ML Training via Mixed Pruning and Reconfigurable ADC.
Chukwufumnanya Ogbogu, Mohapatra Soumen, Biresh Kumar Joardar, Janardhan Rao Doppa, Deuk Heo, Krishnendu Chakrabarty, and Partha Pratim Pande.
IEEE
2023
Machine Learning for Heterogeneous Manycore Design.
Biresh Kumar Joardar, Janardhan Rao Doppa, and Partha Pratim Pande.
IEEE
2023
Block-Wise Mixed-Precision Quantization: Enabling High Efficiency for Practical ReRAM-based DNN Accelerators.
Xueying Wu, Edward Hanson, Nansu Wang, Qilin Zheng, Xiaoxuan Yang, Huanrui Yang, Shiyu Li, Feng Cheng, Partha Pratim Pande, Janardhan Rao Doppa, and others.
arXiv preprint arXiv:2310.12182
2023
The 2023 Networks-on-Chip (NOCS) Symposium.
Partha Pratim Pande.
IEEE Design \& Test
2022
Special Issue on Near-Memory and In-Memory Processing.
Partha Pratim Pande.
IEEE Design \& Test
2022
Special Issue on Benchmarking Machine Learning Systems and Applications.
Partha Pratim Pande.
IEEE Design \& Test
2022
Special Issue on 2021 Top Picks in Hardware and Embedded Security.
Partha Pratim Pande.
IEEE Design \& Test
2022
NoC-enabled 3D Heterogeneous Manycore Systems for Big-Data Applications.
Biresh Kumar Joardar, Janardhan Rao Doppa, Partha Pratim Pande, and Krishnendu Chakrabarty.
IEEE
2022
Software/hardware co-design of 3D NoC-based GPU architectures for accelerated graph computations.
Dwaipayan Choudhury, Reet Barik, Aravind Sukumaran Rajam, Ananth Kalyanaraman, and Partha Pratim Pande.
ACM Transactions on Design Automation of Electronic Systems (TODAES)
2022
Interconnect and Integration Technology.
Yenai Ma, Biresh Kumar Joardar, Partha Pratim Pande, and Ajay Joshi.
IEEE
2022
EDAML 2022 Invited Speaker 6: Reliable Processing-in-Memory based Manycore Architectures for Deep Learning: From CNNs to GNNs.
Partha Pratim Pande.
IEEE
2022
Accelerating Large-Scale Graph Neural Network Training on Crossbar Diet.
Chukwufumnanya Ogbogu, Aqeeb Iqbal Arka, Biresh Kumar Joardar, Janardhan Rao Doppa, Hai Li, Krishnendu Chakrabarty, and Partha Pratim Pande.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
2022
SWAP: A Server-Scale Communication-Aware Chiplet-Based Manycore PIM Accelerator.
Harsh Sharma, Sumit K Mandal, Janardhan Rao Doppa, Umit Y Ogras, and Partha Pratim Pande.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
2022
An Inductor-First Single-Inductor Multiple-Output Hybrid DC--DC Converter With Integrated Flying Capacitor for SoC Applications.
Zhiyuan Zhou, Nghia Tang, Bai Nguyen, Wookpyo Hong, Partha Pratim Pande, Ram K Krishnamurthy, and Deukhyoun Heo.
IEEE Transactions on Circuits and Systems I: Regular Papers
2022
Special Issue on Design and Test of Multidie Packages.
Partha Pratim Pande.
IEEE Design \& Test
2022
Accelerating graph computations on 3D NoC-enabled PIM architectures.
Dwaipayan Choudhury, Lizhi Xiang, Aravind Rajam, Anantharaman Kalyanaraman, and Partha Pratim Pande.
ACM Transactions on Design Automation of Electronic Systems
2022
Essence: Exploiting structured stochastic gradient pruning for endurance-aware reram-based in-memory training systems.
Xiaoxuan Yang, Huanrui Yang, Janardhan Rao Doppa, Partha Pratim Pande, Krishnendu Chakrabarty, and Hai Li.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
2022
ReaLPrune: ReRAM Crossbar-Aware Lottery Ticket Pruning for CNNs.
Biresh Kumar Joardar, Janardhan Rao Doppa, Hai Li, Krishnendu Chakrabarty, and Partha Pratim Pande.
IEEE Transactions on Emerging Topics in Computing
2022
Fault-tolerant Deep Learning using Regularization.
Biresh Kumar Joardar, Aqeeb Iqbal Arka, Janardhan Rao Doppa, and Partha Pratim Pande.
IEEE
2021
HeM3D: He terogeneous Manycore Architecture Based on M onolithic 3D Vertical Integration.
Aqeeb Iqbal Arka, Biresh Kumar Joardar, Ryan Gary Kim, Dae Hyun Kim, Janardhan Rao Doppa, and Partha Pratim Pande.
ACM Transactions on Design Automation of Electronic Systems (TODAES)
2021
Power management of monolithic 3D manycore chips with inter-tier process variations.
Anwesha Chatterjee, Shouvik Musavvir, Ryan Gary Kim, Janardhan Rao Doppa, and Partha Pratim Pande.
ACM Journal on Emerging Technologies in Computing Systems (JETC)
2021
ReGraphX: NoC-enabled 3D heterogeneous ReRAM architecture for training graph neural networks.
Aqeeb Iqbal Arka, Janardhan Rao Doppa, Partha Pratim Pande, Biresh Kumar Joardar, and Krishnendu Chakrabarty.
IEEE
2021
SETGAN: Scale and Energy Trade-off GANs for Image Applications on Mobile Platforms.
Nitthilan Kannappan Jayakodi, Janardhan Rao Doppa, and Partha Pratim Pande.
arXiv e-prints
2021
Learning pareto-frontier resource management policies for heterogeneous socs: An information-theoretic approach.
Aryan Deshwal, Syrine Belakaria, Ganapati Bhat, Janardhan Rao Doppa, and Partha Pratim Pande.
IEEE
2021
High-throughput training of deep CNNs on ReRAM-based heterogeneous architectures via optimized normalization layers.
Biresh Kumar Joardar, Aryan Deshwal, Janardhan Rao Doppa, Partha Pratim Pande, and Krishnendu Chakrabarty.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
2021
3D++: Unlocking the next generation of high-performance and energy-efficient architectures using M3D integration.
Biresh Kumar Joardar, Aqeeb Iqbal Arka, Janardhan Rao Doppa, and Partha Pratim Pande.
IEEE
2021
Multi-objective optimization of ReRAM crossbars for robust DNN inferencing under stochastic noise.
Xiaoxuan Yang, Syrine Belakaria, Biresh Kumar Joardar, Huanrui Yang, Janardhan Rao Doppa, Partha Pratim Pande, Krishnendu Chakrabarty, and Hai Helen Li.
IEEE
2021
Performance and accuracy tradeoffs for training graph neural networks on ReRAM-based architectures.
Aqeeb Iqbal Arka, Biresh Kumar Joardar, Janardhan Rao Doppa, Partha Pratim Pande, and Krishnendu Chakrabarty.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
2021
Learning to train CNNs on faulty ReRAM-based manycore accelerators.
Biresh Kumar Joardar, Janardhan Rao Doppa, Hai Li, Krishnendu Chakrabarty, and Partha Pratim Pande.
ACM Transactions on Embedded Computing Systems (TECS)
2021
High-performance and energy-efficient 3D manycore GPU architecture for accelerating graph analytics.
Dwaipayan Choudhury, Aravind Sukumaran Rajam, Ananth Kalyanaraman, and Partha Pratim Pande.
ACM Journal on Emerging Technologies in Computing Systems (JETC)
2021
ReaLPrune: ReRAM Crossbar-aware Lottery Ticket Pruned CNNs.
Biresh Kumar Joardar, Janardhan Rao Doppa, Hai Li, Krishnendu Chakrabarty, and Partha Pratim Pande.
arXiv preprint arXiv:2111.09272
2021
A general hardware and software co-design framework for energy-efficient edge AI.
Nitthilan Kannappan Jayakodi, Janardhan Rao Doppa, and Partha Pratim Pande.
IEEE
2021
DARe: DropLayer-aware manycore ReRAM architecture for training graph neural networks.
Aqeeb Iqbal Arka, Biresh Kumar Joardar, Janardhan Rao Doppa, Partha Pratim Pande, and Krishnendu Chakrabarty.
IEEE
2021
Heterogeneous manycore architectures enabled by processing-in-memory for deep learning: From CNNs to GNNs:(ICCAD special session paper).
Biresh Kumar Joardar, Aqeeb Iqbal Arka, Janardhan Rao Doppa, Partha Pratim Pande, Hai Li, and Krishnendu Chakrabarty.
IEEE
2020
3D-ReG: A 3D ReRAM-based heterogeneous architecture for training deep neural networks.
Bing Li, Janardhan Rao Doppa, Partha Pratim Pande, Krishnendu Chakrabarty, Joe X Qiu, and Hai Li.
ACM Journal on Emerging Technologies in Computing Systems (JETC)
2020
An energy-aware online learning framework for resource management in heterogeneous platforms.
Sumit K Mandal, Ganapati Bhat, Janardhan Rao Doppa, Partha Pratim Pande, and Umit Y Ogras.
ACM Transactions on Design Automation of Electronic Systems (TODAES)
2020
A wide output voltage range single-input-multi-output hybrid DC-DC converter achieving 87.5\% peak efficiency with a fast response time and low cross regulation for DVFS applications.
Zhiyuan Zhou, Nghia Tang, Bai Nguyen, Wookpyo Hong, Partha Pratim Pande, and Deukhyoun Heo.
IEEE
2020
Making a case for partially connected 3D NoC: NFIC versus TSV.
Aqeeb Iqbal Arka, Srinivasan Gopal, Janardhan Rao Doppa, Deukhyoun Heo, and Partha Pratim Pande.
ACM Journal on Emerging Technologies in Computing Systems (JETC)
2020
Analysis and design method of multiple-output switched-capacitor voltage regulators with a reduced number of power electronic components.
Wookpyo Hong, Zhiyuan Zhou, Bai Nguyen, Nghia Tang, Jonghoon Kim, Partha Pratim Pande, and Deukhyoun Heo.
IEEE Transactions on Circuits and Systems I: Regular Papers
2020
GRAMARCH: A GPU-ReRAM based heterogeneous architecture for neural image segmentation.
Biresh Kumar Joardar, Nitthilan Kannappan Jayakodi, Janardhan Rao Doppa, Hai Li, Partha Pratim Pande, and Krishnendu Chakrabarty.
IEEE
2020
Design of multi-output switched-capacitor voltage regulator via machine learning.
Zhiyuan Zhou, Syrine Belakaria, Aryan Deshwal, Wookpyo Hong, Janardhan Rao Doppa, Partha Pratim Pande, and Deukhyoun Heo.
IEEE
2020
Power, performance, and thermal trade-offs in M3D-enabled manycore chips.
Shouvik Musavvir, Anwesha Chatterjee, Ryan Gary Kim, Dae Hyun Kim, Janardhan Rao Doppa, and Partha Pratim Pande.
IEEE
2020
AccuReD: High accuracy training of CNNs on ReRAM/GPU heterogeneous 3-D architecture.
Biresh Kumar Joardar, Janardhan Rao Doppa, Partha Pratim Pande, Hai Li, and Krishnendu Chakrabarty.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
2020
Online adaptive learning for runtime resource management of heterogeneous SoCs.
Sumit K Mandal, Umit Y Ogras, Janardhan Rao Doppa, Raid Z Ayoub, Michael Kishinevsky, and Partha P Pande.
IEEE
2020
A hybrid 3D interconnect with 2X bandwidth density employing orthogonal simultaneous bidirectional signaling for 3D NoC.
Srinivasan Gopal, Sourav Das, Partha Pratim Pande, and Deukhyoun Heo.
IEEE Transactions on Circuits and Systems I: Regular Papers
2020
PETNet: Polycount and energy trade-off deep networks for producing 3D objects from images.
Nitthilan Kanappan Jayakodi, Janardhan Rao Doppa, and Partha Pratim Pande.
IEEE
2020
PROGRAM COMMITTEE (CASES).
Partha Pratim Pande, Umit Ogras, Ali Akoglu, Lars Bauer, Swarup Bhunia, Oliver Bringmann, Luca Carloni, Jeronimo Castrillon, Henri-Pierre Charles, Mainak Chaudhuri, and others.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
2020
SETGAN: Scale and energy trade-off gans for image applications on mobile platforms.
Nitthilan Kanappan Jayakodi, Janardhan Rao Doppa, and Partha Pratim Pande.
IEEE
2019
Analyzing power-thermal-performance trade-offs in a high-performance 3D NoC architecture.
Dongjin Lee, Sourav Das, and Partha Pratim Pande.
Integration
2019
Editorial TVLSI positioning—Continuing and accelerating an upward trajectory.
Massimo Alioto.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
2019
REGENT: A heterogeneous ReRAM/GPU-based architecture enabled by NoC for training CNNs.
Biresh Kumar Joardar, Bing Li, Janardhan Rao Doppa, Hai Li, Partha Pratim Pande, and Krishnendu Chakrabarty.
IEEE
2019
Design and optimization of heterogeneous manycore systems enabled by emerging interconnect technologies: Promises and challenges.
Biresh Kumar Joardar, Ryan Gary Kim, Janardhan Rao Doppa, and Partha Pratim Pande.
IEEE
2019
A brief survey of algorithms, architectures, and challenges toward extreme-scale graph analytics.
Ananth Kalyanaraman and Partha Pratim Pande.
IEEE
2019
Inter-Tier Process Variation-Aware Monolithic 3D NoC Architectures.
Shouvik Musavvir, Anwesha Chatterjee, Ryan Gary Kim, Dae Hyun Kim, and Partha Pratim Pande.
arXiv preprint arXiv:1906.04293
2019
Dynamic resource management of heterogeneous mobile platforms via imitation learning.
Sumit K Mandal, Ganapati Bhat, Chetan Arvind Patil, Janardhan Rao Doppa, Partha Pratim Pande, and Umit Y Ogras.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
2019
A dual-output step-down switched-capacitor voltage regulator with a flying capacitor crossing technique for enhanced power efficiency.
Wookpyo Hong, Bai Nguyen, Zhiyuan Zhou, Nghia Tang, Jonghoon Kim, Partha Pratim Pande, and Deukhyoun Heo.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
2019
Impact of electrostatic coupling on monolithic 3D-enabled network on chip.
Dongjin Lee, Sourav Das, Janardhan Rao Doppa, Partha Pratim Pande, and Krishnendu Chakrabarty.
ACM Transactions on Design Automation of Electronic Systems (TODAES)
2019
NoC-enabled software/hardware co-design framework for accelerating k-mer counting.
Biresh Kumar Joardar, Priyanka Ghosh, Partha Pratim Pande, Ananth Kalyanaraman, and Sriram Krishnamoorthy.
IEEE
2019
MOOS: A multi-objective design space exploration and optimization framework for NoC enabled manycore systems.
Aryan Deshwal, Nitthilan Kanappan Jayakodi, Biresh Kumar Joardar, Janardhan Rao Doppa, and Partha Pratim Pande.
ACM Transactions on Embedded Computing Systems (TECS)
2019
Inter-tier process-variation-aware monolithic 3-D NoC design space exploration.
Shouvik Musavvir, Anwesha Chatterjee, Ryan Gary Kim, Dae Hyun Kim, and Partha Pratim Pande.
IEEE Transactions on very large scale integration (VLSI) systems
2019
A machine learning framework for multi-objective design space exploration and optimization of manycore systems.
Biresh Kumar Joardar, Aryan Deshwal, Janardhan Rao Doppa, and Partha Pratim Pande.
IEEE
2018
Systems and methods for network routing in small-world network-on-chip devices.
Partha Pande and Deukhyoun Heo.
IEEE US Patent 10,103,913.
2018
Network-on-chip computing systems with wireless interconnects.
Partha Pande.
IEEE US Patent 9,876,708.
2018
Machine learning and manycore systems design: A serendipitous symbiosis.
Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, and Radu Marculescu.
Computer
2018
Zero-power feed-forward spur cancelation for supply-regulated CMOS ring PLLs.
Pawan Agarwal, Jong-Hoon Kim, Partha Pratim Pande, and Deukhyoun Heo.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
2018
A 40\% PAE frequency-reconfigurable CMOS power amplifier with tunable gate--drain neutralization for 28-GHz 5G radios.
Sheikh Nijam Ali, Pawan Agarwal, Luke Renaud, Reza Molavi, Shahriar Mirabbasi, Partha Pratim Pande, and Deukhyoun Heo.
IEEE Transactions on Microwave Theory and Techniques
2018
High performance collective communication-aware 3D Network-on-Chip architectures.
Biresh Kumar Joardar, Karthi Duraisamy, and Partha Pratim Pande.
IEEE
2018
Special session on bringing cores closer together: The wireless revolution in on-chip communication.
Terrence Mak, Hiroki Matsutani, and Partha Pratim Pande.
IEEE
2018
Scalable network-on-chip architectures for brain--machine interface applications.
Xian Li, Karthi Duraisamy, Paul Bogdan, Janardhan Rao Doppa, and Partha Pratim Pande.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
2018
A spatial multi-bit sub-1-V time-domain matrix multiplier interface for approximate computing in 65-nm CMOS.
Srinivasan Gopal, Pawan Agarwal, Joe Baylon, Luke Renaud, Sheikh Nijam Ali, Partha Pratim Pande, and Deukhyoun Heo.
IEEE Journal on Emerging and Selected Topics in Circuits and Systems
2018
Trading-off accuracy and energy of deep inference on embedded systems: A co-design approach.
Nitthilan Kannappan Jayakodi, Anwesha Chatterjee, Wonje Choi, Janardhan Rao Doppa, and Partha Pratim Pande.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
2018
A 16-Gb/s low-power inductorless wideband gain-boosted baseband amplifier with skewed differential topology for wireless network-on-chip.
Joe Baylon, Xinmin Yu, Srinivasan Gopal, Reza Molavi, Shahriar Mirabbasi, Partha Pratim Pande, and Deukhyoun Heo.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
2018
Performance and thermal tradeoffs for energy-efficient monolithic 3D network-on-chip.
Dongjin Lee, Sourav Das, Janardhan Rao Doppa, Partha Pratim Pande, and Krishnendu Chakrabarty.
ACM Transactions on Design Automation of Electronic Systems (TODAES)
2018
High-performance and small-form factor near-field inductive coupling for 3-D NoC.
Srinivasan Gopal, Sourav Das, Pawan Agarwal, Sheikh Nijam Ali, Deukhyoun Heo, and Partha Pratim Pande.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
2018
Design space exploration of 3D network-on-chip: A sensitivity-based optimization approach.
Dongjin Lee, Sourav Das, Dae Hyun Kim, Janardhan Rao Doppa, and Partha Pratim Pande.
ACM Journal on Emerging Technologies in Computing Systems (JETC)
2018
Learning-based application-agnostic 3D NoC design for heterogeneous manycore systems.
Biresh Kumar Joardar, Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, and Radu Marculescu.
IEEE Transactions on Computers
2018
Abetting planned obsolescence by aging 3D networks-on-chip.
Sourav Das, Kanad Basu, Janardhan Rao Doppa, Partha Pratim Pande, Ramesh Karri, and Krishnendu Chakrabarty.
IEEE
2018
Hybrid on-chip communication architectures for heterogeneous manycore systems.
Biresh Kumar Joardar, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, and Radu Marculescu.
IEEE
2018
Machine learning for design space exploration and optimization of manycore systems.
Ryan Gary Kim, Janardhan Rao Doppa, and Partha Pratim Pande.
IEEE
2017
Network-on-chip based computing devices and systems.
Partha Pande.
IEEE US Patent 9,608,684.
2017
Switched substrate-shield-based low-loss CMOS inductors for wide tuning range VCOs.
Pawan Agarwal, Suman Prasad Sah, Reza Molavi, Shahriar Mirabbasi, Partha Pratim Pande, Seung Eel Oh, Jong-Hoon Kim, and Deukhyoun Heo.
IEEE Transactions on Microwave Theory and Techniques
2017
Performance-thermal trade-offs for a VFI-enabled 3D NoC architecture.
Dongjin Lee, Sourav Das, and Partha Pratim Pande.
IEEE
2017
Performance evaluation and design trade-offs for wireless-enabled SMART NoC.
Karthi Duraisamy and Partha Pratim Pande.
IEEE
2017
Robust TSV-based 3D NoC design to counteract electromigration and crosstalk noise.
Sourav Das, Janardhan Rao Doppa, Partha Pratim Pande, and Krishnendu Chakrabarty.
IEEE
2017
A reconfigurable wireless NoC for large scale microbiome community analysis.
Xian Li, Karthi Duraisamy, Joe Baylon, Turbo Majumder, Guopeng Wei, Paul Bogdan, Deukhyoun Heo, and Partha Pratim Pande.
IEEE Transactions on Computers
2017
Imitation learning for dynamic VFI control in large-scale manycore systems.
Ryan Gary Kim, Wonje Choi, Zhuo Chen, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, and Radu Marculescu.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
2017
Accelerating graph community detection with approximate updates via an energy-efficient NoC.
Karthi Duraisamy, Hao Lu, Partha Pratim Pande, and Ananth Kalyanaraman.
IEEE
2017
VFI-based power management to enhance the lifetime of high-performance 3D NoCs.
Sourav Das, Dongjin Lee, Wonje Choi, Janardhan Rao Doppa, Partha Pratim Pande, and Krishnendu Chakrabarty.
ACM Transactions on Design Automation of Electronic Systems (TODAES)
2017
Enabling high-performance SMART NoC architectures using on-chip wireless links.
Karthi Duraisamy and Partha Pratim Pande.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
2017
Energy and area efficient near field inductive coupling: A case study on 3D NoC.
Srinivasan Gopal, Sourav Das, Deukhyoun Heo, and Partha Pratim Pande.
IEEE
2017
3D NoC-enabled heterogeneous manycore architectures for accelerating CNN training: Performance and thermal trade-offs.
Biresh Kumar Joardar, Wonje Choi, Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, and Radu Marculescu.
IEEE
2017
Monolithic 3D-enabled high performance and energy efficient network-on-chip.
Sourav Das, Janardhan Rao Doppa, Partha Pratim Pande, and Krishnendu Chakrabarty.
IEEE
2017
On-chip communication network for efficient training of deep convolutional networks on heterogeneous manycore systems.
Wonje Choi, Karthi Duraisamy, Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, and Radu Marculescu.
IEEE Transactions on Computers
2017
Special session paper: data analytics enables energy-efficiency and robustness: from mobile to manycores, datacenters, and networks.
Sudeep Pasricha, Janardhan Rao Doppa, Krishnendu Chakrabarty, Saideep Tiku, Daniel Dauwe, Shi Jin, and Partha Pratim Pande.
IEEE
2017
Energy-efficient and robust 3D NoCs with contactless vertical links.
Sourav Das, Srinivasan Gopal, Deukhyoun Heo, and Partha Pratim Pande.
IEEE
2017
Editorial in IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Krishnendu Chakrabarty, Massimo Alioto, Maxime Baas, Chirn Chye Boon, Meng-Fan Chang, Naehyuck Chang, Yao-Wen Chang, Chip-Hong Ho, Shih-Chieh Chang, Poki Chen, and others.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
2017
Data analytics enables energy-efficiency and robustness: from mobile to manycores, datacenters, and networks (special session paper).
Sudeep Pasricha, Janardhan Rao Doppa, Krishnendu Chakrabarty, Saideep Tiku, Daniel Dauwe, Shi Jin, and Partha Pratim Pande.
IEEE
2017
Energy and Area Efficient Near Field Inductive Coupling.
Sourav Das Srinivasan Gopal, Deukhyoun Heo, and Partha Pratim Pande.
IEEE
2016
Sustainable wireless network-on-chip architectures.
Jacob Murray, Paul Wettin, Partha Pratim Pande, and Behrooz Shirazi.
IEEE
2016
On-chip communication energy reduction through reliability aware adaptive voltage swing scaling.
Andrea Mineo, Maurizio Palesi, Giuseppe Ascia, Partha Pratim Pande, and Vincenzo Catania.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
2016
Wireless NoC and dynamic VFI codesign: Energy efficiency without performance penalty.
Ryan Gary Kim, Wonje Choi, Zhuo Chen, Partha Pratim Pande, Diana Marculescu, and Radu Marculescu.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
2016
Network-on-chip-enabled multicore platforms for parallel model predictive control.
Xian Li, Karthi Duraisamy, Paul Bogdan, Turbo Majumder, and Partha Pratim Pande.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
2016
The Future of NoCs: New Technologies and Architectures.
Partha Pratim Pande, Sudeep Pasricha, and Hiroki Matsutani.
IEEE
2016
Optimization of dynamic power consumption in multi-tier gate-level monolithic 3D ICs.
Sheng-En David Lin, Partha Pratim Pande, and Dae Hyun Kim.
IEEE
2016
Reliability and performance trade-offs for 3D NoC-enabled multicore chips.
Sourav Das, Janardhan Rao Doppa, Partha Pratim Pande, and Krishnendu Chakrabarty.
IEEE
2016
A dynamic, compiler guided DVFS mechanism to achieve energy-efficiency in multi-core processors.
Teng Lu, Partha Pratim Pande, and Behrooz Shirazi.
Sustainable Computing: Informatics and Systems
2016
DEAR Readers.
Partha Pratim Pande.
IEEE
2016
Fully integrated buck converter with fourth-order low-pass filter.
Nghia Tang, Bai Nguyen, Reza Molavi, Shahriar Mirabbasi, Yangyang Tang, Philipp Zhang, Jonghoon Kim, Partha Pratim Pande, and Deukhyoun Heo.
IEEE transactions on power electronics
2016
Fast uncovering of graph communities on a chip: Toward scalable community detection on multicore and manycore platforms.
Ananth Kalyanaraman, Mahantesh Halappanavar, Daniel Chavarr{\'\i}a-Miranda, Hao Lu, Karthi Duraisamy, Partha Pratim Pande, and others.
Foundations and Trends{\textregistered} in Electronic Design Automation
2016
Design-space exploration and optimization of an energy-efficient and reliable 3-D small-world network-on-chip.
Sourav Das, Janardhan Rao Doppa, Partha Pratim Pande, and Krishnendu Chakrabarty.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
2016
High-performance and energy-efficient network-on-chip architectures for graph analytics.
Karthi Duraisamy, Hao Lu, Partha Pratim Pande, and Ananth Kalyanaraman.
ACM Transactions on Embedded Computing Systems (TECS)
2016
Hybrid network-on-chip architectures for accelerating deep learning kernels on heterogeneous manycore platforms.
Wonje Choi, Karthi Duraisamy, Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Radu Marculescu, and Diana Marculescu.
IEEE
2016
Power and thermal management in massive multicore chips: Theoretical foundation meets architectural innovation and resource allocation.
Paul Bogdan, Partha Pratim Pande, Hussam Amrouch, Muhammad Shafique, and J{\"o}rg Henkel.
IEEE
2016
Making the Internet-of-Things a reality: From smart models, sensing and actuation to energy-efficient architectures.
Paul Bogdan, Miroslav Pajic, Partha Pratim Pande, and Vijay Raghunathan.
IEEE
2016
Energy-efficient and reliable 3D Network-on-Chip (NoC): Architectures and optimization algorithms.
Sourav Das, Janardhan Rao Doppa, Partha Pratim Pande, and Krishnendu Chakrabarty.
IEEE
2016
Multicast-aware high-performance wireless network-on-chip architectures.
Karthi Duraisamy, Yuankun Xue, Paul Bogdan, and Partha Pratim Pande.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
2016
Tutorial 3A: Bringing cores closer together: The wireless revolution in on-chip communication.
Partha Pande and Juergen Becker.
IEEE
2015
An 18.7-Gb/s 60-GHz OOK demodulator in 65-nm CMOS for wireless network-on-chip.
Xinmin Yu, Hooman Rashtian, Shahriar Mirabbasi, Partha Pratim Pande, and Deukhyoun Heo.
IEEE Transactions on Circuits and Systems I: Regular Papers
2015
On-chip network-enabled many-core architectures for computational biology applications.
Turbo Majumder, Partha Pratim Pande, and Ananth Kalyanaraman.
IEEE
2015
Enhancing performance of wireless NoCs with distributed MAC protocols.
Karthi Duraisamy, Ryan Gary Kim, and Partha Pratim Pande.
IEEE
2015
NoC-enabled multicore architectures for stochastic analysis of biomolecular reactions.
Turbo Majumder, Xian Li, Paul Bogdan, and Partha Pande.
IEEE
2015
25.3 GHz, 4.1 mW VCO with 34.8\% tuning range using a switched substrate-shield inductor.
Pawan Agarwal, Partha Pratim Pande, and Deukhyoun Heo.
IEEE
2015
A 64 GHz 5 mW low phase noise Gm-boosted colpitts CMOS VCO with self-switched biasing technique.
Tai Nghia Nguyen, Partha Pratim Pande, and Deukhyoun Heo.
IEEE
2015
Small-world network enabled energy efficient and robust 3D NoC architectures.
Sourav Das, Dongjin Lee, Dae Hyun Kim, and Partha Pratim Pande.
IEEE
2015
Energy efficient MapReduce with VFI-enabled multicore platforms.
Karthi Duraisamy, Ryan Gary Kim, Wonje Choi, Guangshuo Liu, Partha Pratim Pande, Radu Marculescu, and Diana Marculescu.
IEEE
2015
Wireless NoC for VFI-enabled multicore chip design: Performance evaluation and design trade-offs.
Ryan Gary Kim, Wonje Choi, Guangshuo Liu, Ehsan Mohandesi, Partha Pratim Pande, Diana Marculescu, and Radu Marculescu.
IEEE Transactions on Computers
2015
Improving EDP in wireless NoC-enabled multicore chips via DVFS pruning.
Wonje Choi, Shervin Hajiamin, Ryan Gary Kim, Armin Rahimi, Nillofar Hezarjaribi, Partha Pratim Pande, and Behrooz Shirazi.
IEEE
2015
High performance and energy efficient wireless NoC-enabled multicore architectures for graph analytics.
Karthi Duraisamy, Hao Lu, Partha Pratim Pande, and Ananth Kalyanaraman.
IEEE
2015
The (low) power of less wiring: Enabling energy efficiency in many-core platforms through wireless noc.
Partha Pratim Pande, Ryan Gary Kim, Wonje Choi, Zhuo Chen, Diana Marculescu, and Radu Marculescu.
IEEE
2015
Optimizing 3D NoC design for energy efficiency: A machine learning approach.
Sourav Das, Janardhan Rao Doppa, Dae Hyun Kim, Partha Pratim Pande, and Krishnendu Chakrabarty.
IEEE
2015
Introduction to IEEE Transactions on Multiscale Computing Systems (TMSCS).
Partha Pratim Pande.
IEEE Transactions on Multi-Scale Computing Systems
2015
Transactions/Journals Department.
Krishnendu Chakrabarty, Massimo Alioto, Stacey Weber Jackson, Chye Chirin Boon, Chip-Hong Chang, Naeuhyuck Chang, Shih-Chieh Chang, YAOWEN CHANG, Poki Chen, Masud Chowdhury, and others.
IEEE
2015
2014 IEEE Executive Staff.
KRISHNENDU CHAKRABARTY, MASSIMO ALIOTO, STACEY WEBER JACKSON, CHYE CHIRIN BOON, CHIP-HONG CHANG, NAEUHYUCK CHANG, SHIH-CHIEH CHANG, YAOWEN CHANG, POKI CHEN, MASUD CHOWDHURY, and others.
IEEE
2014
Design and evaluation of technology-agnostic heterogeneous networks-on-chip.
Haera Chung, Christof Teuscher, and Partha Pande.
ACM Journal on Emerging Technologies in Computing Systems (JETC)
2014
Guest Editors' Introduction: Hardware Acceleration in Computational Biology.
Partha Pratim Pande and Ananth Kalyanaraman.
IEEE Design \& Test of Computers
2014
Thermal hotspot reduction in mm-wave wireless noc architectures.
Jacob Murray, Paul Wettin, Ryan Kim, Xinmin Yu, Partha Pratim Pande, Behrooz Shirazi, and Deukhyoun Heo.
IEEE
2014
Performance evaluation of wireless NoCs in presence of irregular network routing strategies.
Paul Wettin, Jacob Murray, Ryan Kim, Xinmin Yu, Partha Pratim Pande, and Deukhyoun Heo.
IEEE
2014
Architecture and design of multichannel millimeter-wave wireless NoC.
Xinmin Yu, Joe Baylon, Paul Wettin, Deukhyoun Heo, Partha Pratim Pande, and Shahriar Mirabbasi.
IEEE Design \& Test
2014
Dual-level DVFS-enabled millimeter-wave wireless NoC architectures.
Jacob Murray, Teng Lu, Paul Wettin, Partha Pratim Pande, and Behrooz Shirazi.
ACM Journal on Emerging Technologies in Computing Systems (JETC)
2014
A 1.2-pJ/bit 16-Gb/s 60-GHz OOK transmitter in 65-nm CMOS for wireless network-on-chip.
Xinmin Yu, Suman Prasad Sah, Hooman Rashtian, Shahriar Mirabbasi, Partha Pratim Pande, and Deukhyoun Heo.
IEEE Transactions on Microwave Theory and Techniques
2014
Energy-efficient VFI-partitioned multicore design using wireless NoC architectures.
Ryan Kim, Guangshuo Liu, Paul Wettin, Radu Marculescu, Diana Marculescu, and Partha Pratim Pande.
IEEE
2014
DVFS pruning for wireless NoC architectures.
Jacob Murray, Nghia Tang, Partha Pratim Pande, Deukhyoun Heo, and Behrooz A Shirazi.
IEEE Design \& Test
2014
Design space exploration for wireless NoCs incorporating irregular network routing.
Paul Wettin, Ryan Kim, Jacob Murray, Xinmin Yu, Partha P Pande, Amlan Ganguly, and Deukhyoun Heoamlan.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
2014
T2B: Carbon nanotubes and opportunities for wireless on-chip interconnect.
Alireza Nojeh, Partha P Pande, and Andre Ivanov.
IEEE
2014
Performance evaluation of congestion-aware routing with DVFS on a millimeter-wave small-world wireless NoC.
Jacob Murray, Ryan Kim, Paul Wettin, Partha Pratim Pande, and Behrooz Shirazi.
ACM Journal on Emerging Technologies in Computing Systems (JETC)
2014
T1B: Wireless NoC as interconnection backbone for multicore chips: Promises and challenges.
Partha P Pande, Alireza Nojeh, and Andre Ivanov.
IEEE
2014
An energy-efficient millimeter-wave wireless NoC with congestion-aware routing and DVFS.
Ryan Kim, Jacob Murray, Paul Wettin, Partha Pratim Pande, and Behrooz Shirazi.
IEEE
2014
Introduction to the special session on “Interconnect enhances architecture: Evolution of wireless NoC from planar to 3D”.
Radu Marculescu, Partha Pratim Pande, Deukhyoun Heo, and Hiroki Matsutani.
IEEE
2014
A 60-GHz LNA with feed-forward bandwidth extension technique for wireless NoC application.
Xinmin Yu, Deukhyoun Heo, Partha Pratim Pande, and Shahriar Mirabbasi.
IEEE
2014
Video conferencing: An efficient e-learning tool for distance education.
Harsha Pandey and P Pande.
International Journal of Innovation and Scientific Research
2014
Applications of Algorithmic Graph Theory to the Real World Problems.
Harsha Pandey and PP Pande.
Int. J. Innov. Sci. Res.
2013
Sustainable and Reliable On-Chip Wireless Communication Infrastructure for Massive Multi-core Systems.
Amlan Ganguly, Partha Pande, Benjamin Belzer, and Alireza Nojeh.
Evolutionary Based Solutions for Green Computing
2013
High-throughput, energy-efficient network-on-chip-based hardware accelerators.
Turbo Majumder, Partha Pratim Pande, and Ananth Kalyanaraman.
Sustainable Computing: Informatics and Systems
2013
Energy-efficient multicore chip design through cross-layer approach.
Paul Wettin, Jacob Murray, Partha Pande, Behrooz Shirazi, and Amlan Ganguly.
IEEE
2013
Sustainable DVFS-enabled multi-core architectures with on-chip wireless links.
Jacob Murray, Teng Lu, Partha Pande, and Behrooz Shirazi.
IEEE
2013
Network-on-chip with long-range wireless links for high-throughput scientific computation.
Turbo Majumder, Partha Pratim Pande, and Ananth Kalyanaraman.
IEEE
2013
Sustainable dual-level DVFS-enabled NoC with on-chip wireless links.
Jacob Murray, Rajath Hegde, Teng Lu, Partha Pratim Pande, and Behrooz Shirazi.
IEEE
2013
Sustainable Computing: Informatics and Systems.
Turbo Majumder, Partha Pratim Pande, and Ananth Kalyanaraman.
IEEE
2013
Design Technologies for Green and Sustainable Computing Systems.
Partha Pratim Pande, Amlan Ganguly, and Krishnendu Chakrabarty.
IEEE
2013
Design space exploration for reliable mm-wave wireless NoC architectures.
Paul Wettin, Partha Pratim Pande, Deukhyoun Heo, Benjamin Belzer, Sujay Deb, and Amlan Ganguly.
IEEE
2013
Evaluating effects of thermal management in wireless NoC-enabled multicore architectures.
Jacob Murray, Paul Wettin, Partha Pande, Behrooz Shirazi, Nishad Nerurkar, and Amlan Ganguly.
IEEE
2013
Complex network-enabled robust wireless network-on-chip architectures.
Paul Wettin, Anuroop Vidapalapati, Amlan Gangul, and Partha Pratim Pande.
ACM Journal on Emerging Technologies in Computing Systems (JETC)
2013
Design methodology for optical interconnect topologies in NoCs with BER and transmit power constraints.
Ipshita Datta, Debasish Datta, and Partha Pratim Pande.
Journal of lightwave technology
2013
Wireless NoC platforms with dynamic task allocation for maximum likelihood phylogeny reconstruction.
Turbo Majumder, Partha Pratim Pande, and Ananth Kalyanaraman.
IEEE Design \& Test
2013
Millimeter (mm)-wave wireless NoC as interconnection backbone for multicore chips: promises and challenges.
Partha Pratim Pande.
IEEE
2013
Hardware accelerators in computational biology: Application, potential, and challenges.
Turbo Majumder, Partha Pratim Pande, and Ananth Kalyanaraman.
IEEE Design \& Test
2013
A V-band wide locking-range injection-locked CMOS VCO for wireless network-on-chip receiver.
Suman P Sah, Xinmin Yu, Pawan Agarwal, Hooman Rashtian, Partha Pratim Pande, Deukhyoun Heo, and Shahriar Mirabbasi.
IEEE
2012
Design of an efficient NoC architecture using millimeter-wave wireless links.
Sujay Deb, Kevin Chang, Amlan Ganguly, Xinmin Yu, Christof Teuscher, Partha Pande, Deukhyoun Heo, and Benjamin Belzer.
IEEE
2012
Cmos compatible many-core noc architectures with multi-channel millimeter-wave wireless links.
Sujay Deb, Kevin Chang, Miralem Cosic, Amlan Ganguly, Partha P Pande, Deukhyoun Heo, and Benjamin Belzer.
IEEE
2012
Sustainable multi-core architecture with on-chip wireless links.
Jacob Murray, John Klingner, Partha P Pande, and Behrooz Shirazi.
IEEE
2012
Wireless NoC as interconnection backbone for multicore chips: Promises and challenges.
Sujay Deb, Amlan Ganguly, Partha Pratim Pande, Benjamin Belzer, and Deukhyoun Heo.
IEEE Journal on emerging and selected topics in circuits and systems
2012
On-chip network-enabled multicore platforms targeting maximum likelihood phylogeny reconstruction.
Turbo Majumder, Michael Edward Borgens, Partha Pratim Pande, and Ananth Kalyanaraman.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
2012
Test Technology TC Newsletter.
Theocharis Theocharides.
IEEE Design \& Test of Computers
2012
Power efficiency in high performance computing.
Rajath Hegde, Partha Pande, Behrooz Shirazi, and Teng Lu.
IEEE
2012
Performance evaluation and design trade-offs for wireless network-on-chip architectures.
Kevin Chang, Sujay Deb, Amlan Ganguly, Xinmin Yu, Suman Prasad Sah, Partha Pratim Pande, Benjamin Belzer, and Deukhyoun Heo.
ACM Journal on Emerging Technologies in Computing Systems (JETC)
2012
Introduction to the special issue on sustainable and green computing systems.
Partha Pratim Pande and Amlan Ganguly.
ACM Journal on Emerging Technologies in Computing Systems (JETC)
2012
DVFS-enabled sustainable wireless NoC architecture.
Jacob Murray, Partha Pratim Pande, and Behrooz Shirazi.
IEEE
2012
Design of an energy-efficient CMOS-compatible NoC architecture with millimeter-wave wireless interconnects.
Sujay Deb, Kevin Chang, Xinmin Yu, Suman Prasad Sah, Miralem Cosic, Amlan Ganguly, Partha Pratim Pande, Benjamin Belzer, and Deukhyoun Heo.
IEEE Transactions on Computers
2012
BER-based power budget evaluation for optical interconnect topologies in NoCs.
Ipshita Datta, Debasish Datta, and Partha P Pande.
IEEE
2012
Energy-Efficient Network-on-Chip Architectures for Multi-Core Systems..
Partha Pratim Pande, Amlan Ganguly, Sujay Deb, and Kevin Chang.
IEEE
2012
Energy-Efficient Network-on-Chip Architectures for Multi-Core Systems.
Partha Pande, Amlan Ganguly, Sujay Deb, and Kevin Chang.
IEEE
2012
Power efficiency in high performance computing.
Teng Lu, Rajath Hegde, Behrooz Shirazi, and Partha Pande.
IEEE
2012
Test Technology TC Newsletter.
Partha Pande.
IEEE Design \& Test of Computers
2011
A wideband body-enabled millimeter-wave transceiver for wireless network-on-chip.
Xinmin Yu, Suman Prasad Sah, Sujay Deb, Partha Pratim Pande, Benjamin Belzer, and Deukhyoun Heo.
IEEE
2011
Complex network inspired fault-tolerant NoC architectures with wireless links.
Amlan Ganguly, Paul Wettin, Kevin Chang, and Partha Pande.
IEEE
2011
Sustainability through massively integrated computing: Are we ready to break the energy efficiency wall for single-chip platforms?.
Partha Pande, Fabien Clermidy, Diego Puschini, Imen Mansouri, Paul Bogdan, Radu Marculescu, and Amlan Ganguly.
IEEE
2011
Three-dimensional networks-on-chip: performance evaluation.
Brett Stanley Feero and Partha Pratim Pande.
3D Integration for NoC-based SoC Architectures
2011
NoC-based hardware accelerator for breakpoint phylogeny.
Turbo Majumder, Souradip Sarkar, Partha Pratim Pande, and Ananth Kalyanaraman.
IEEE Transactions on Computers
2011
A unified error control coding scheme to enhance the reliability of a hybrid wireless network-on-chip.
Amlan Ganguly, Partha Pande, Benjamin Belzer, and Alireza Nojeh.
IEEE
2011
Accelerating maximum likelihood based phylogenetic kernels using network-on-chip.
Turbo Majumder, Partha Pande, and Ananth Kalyanaraman.
IEEE
2011
Special Issue on Selected Papers from the 2010 International Green Computing Conference.
Partha Pande and Sanjay Ranka.
SUSTAINABLE COMPUTING-INFORMATICS \& SYSTEMS
2010
Scalable hybrid wireless network-on-chip architectures for multicore systems.
Amlan Ganguly, Kevin Chang, Sujay Deb, Partha Pratim Pande, Benjamin Belzer, and Christof Teuscher.
IEEE Transactions on Computers
2010
Hardware accelerators for biocomputing: A survey.
Souradip Sarkar, Turbo Majumder, Ananth Kalyanaraman, and Partha Pratim Pande.
IEEE
2010
Enhancing performance of network-on-chip architectures with millimeter-wave wireless interconnects.
Sujay Deb, Amlan Ganguly, Kevin Chang, Partha Pande, Benjamin Beizer, and Deuk Heo.
IEEE
2010
Comparative performance evaluation of wireless and optical NoC architectures.
Sujay Deb, Kevin Chang, Amlan Ganguly, and Partha Pande.
IEEE
2010
Guest Editors' Introduction: Promises and Challenges of Novel Interconnect Technologies.
Partha Pratim Pande and Sriram Vangal.
IEEE Design \& Test of Computers
2010
An optimized NoC architecture for accelerating TSP kernels in breakpoint median problem.
Turbo Majumder, Souradip Sarkar, Partha Pande, and Ananth Kalyanaraman.
IEEE
2010
Unconventional fabrics, architectures, and models for future multi-core systems.
Radu Marculescu, Christof Teuscher, and Partha Pratim Pande.
IEEE
2009
Networks-on-chip in emerging interconnect paradigms: Advantages and challenges.
Luca P Carloni, Partha Pande, and Yuan Xie.
IEEE
2009
Crosstalk-aware channel coding schemes for energy efficient and reliable NOC interconnects.
Amlan Ganguly, Partha Pratim Pande, and Benjamin Belzer.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
2009
Hybrid wireless network on chip: A new paradigm in multi-core design.
Partha Pratim Pande, Amlan Ganguly, Kevin Chang, and Christof Teuscher.
IEEE
2009
Network-on-chip hardware accelerators for biological sequence alignment.
Souradip Sarkar, Gaurav Ramesh Kulkarni, Partha Pratim Pande, and Ananth Kalyanaraman.
IEEE Transactions on Computers
2009
Performance evaluation of wireless networks on chip architectures.
Amlan Ganguly, Kevin Chang, Partha Pratim Pande, Benjamin Belzer, and Alireza Nojeh.
IEEE
2009
Test and fault tolerance for networks-on-chip infrastructures.
Partha Pratim Pande, Cristian Grecu, Amlan Ganguly, Andre Ivanov, and Resve Saleh.
NETWORKS-ON-CHIPS
2008
Networks-on-chip in a three-dimensional environment: A performance evaluation.
Brett Stanley Feero and Partha Pratim Pande.
IEEE Transactions on computers
2008
Design of low power \& reliable networks on chip through joint crosstalk avoidance and multiple error correction coding.
Amlan Ganguly, Partha Pratim Pande, Benjamin Belzer, and Cristian Grecu.
Journal of electronic testing
2008
A flexible network-on-chip simulator for early design space exploration.
Cristian Grecu, Andr{\'e} Ivanov, Resve Saleh, Claudia Rusu, Lorena Anghel, Partha P Pande, and Vasile Nuca.
IEEE
2008
Energy reduction through crosstalk avoidance coding in networks on chip.
Partha Pratim Pande, Amlan Ganguly, Haibo Zhu, and Cristian Grecu.
Journal of Systems Architecture
2008
Reliability of wireless on-chip interconnects based on carbon nanotube antennas.
A Nojeh, P Pande, A Ganguly, S Sheikhaei, B Belzer, and A Ivanov.
IEEE
2008
Novel interconnect infrastructures for massive multicore chips—an overview.
Partha Pratim Pande, Amlan Ganguly, Benjamin Belzer, Alireza Nojeh, and Andre Ivanov.
IEEE
2007
Performance evaluation for three-dimensional networks-on-chip.
Brett Feero and Partha Pratim Pande.
IEEE
2007
Testing network-on-chip communication fabrics.
Cristian Grecu, Andr{\'e} Ivanov, Resve Saleh, and Partha P Pande.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
2007
Essential fault-tolerance metrics for NoC infrastructures.
Cristian Grecu, Lorena Anghel, Partha P Pande, Andr{\'e} Ivanov, and Resve Saleh.
IEEE
2007
Performance evaluation of adaptive routing algorithms for achieving fault tolerance in NoC fabrics.
Haibo Zhu, Partha Pratim Pande, and Cristian Grecu.
IEEE
2007
Addressing signal integrity in networks on chip interconnects through crosstalk-aware double error correction coding.
Amlan Ganguly, Partha Pratim Pande, Benjamin Belzer, and Cristian Grecu.
IEEE
2007
Towards open network-on-chip benchmarks.
Cristian Grecu, Andre Ivanov, Partha Pande, Axel Jantsch, Erno Salminen, Umit Ogras, and Radu Marculescu.
IEEE
2007
Applicability of energy efficient coding methodology to address signal integrity in 3D NoC fabrics.
Partha Pratim Pande, Amlan Gangul, Brett Feero, and Cristian Grecu.
IEEE
2007
Multiple clock domain synchronization for network on chip architectures.
Jabulani Nyathi, Souradip Sarkar, and Partha Pratim Pande.
IEEE
2007
An initiative towards open network-on-chip benchmarks.
Cristian Grecu, Andr{\`e} Ivanov, Partha Pande, Axel Jantsch, Erno Salminen, and Radu Marculescu.
OCI-IP White Paper
2006
System-on-chip: Reuse and integration.
Resve Saleh, Steve Wilton, Shahriar Mirabbasi, Alan Hu, Mark Greenstreet, Guy Lemieux, Partha Pratim Pande, Cristian Grecu, and Andre Ivanov.
Proceedings of the IEEE
2006
BIST for network-on-chip interconnect infrastructures.
Cristian Grecu, Partha Pande, Andr{\'e} Ivanov, and Res Saleh.
IEEE
2006
On-line fault detection and location for NoC interconnects.
Cristian Grecu, Andr{\'e} Ivanov, Res Saleh, Egor S Sogomonyan, and Partha Pratim Pande.
IEEE
2006
Design of low power \& reliable networks on chip through joint crosstalk avoidance and forward error correction coding.
Partha Pratim Pande, Amlan Ganguly, Brett Feero, Benjamin Belzer, and Cristian Grecu.
IEEE
2006
NoC interconnect yield improvement using crosspoint redundancy.
Cristian Grecu, Andr{\'e} Ivanov, Res Saleh, and Partha Pratim Pande.
IEEE
2006
Energy reduction through crosstalk avoidance coding in NoC paradigm.
Partha Pratim Pande, Haibo Zhu, Amlan Ganguly, and Cristian Grecu.
IEEE
2006
Crosstalk-aware energy reduction in NOC communication fabrics.
Partha Pratim Pande, Haibo Zhu, Amlan Ganguly, and Cristian Grecu.
IEEE
2006
SYSTEMS-ON-CHIP: DESIGN AND INTEGRATION.
R Saleh, S Wilton, S Mirabbasi, A Hu, M Greenstreet, G Lemieux, PP Pande, C Grecu, A Ivanov, JM Rabaey, and others.
Proceedings of the IEEE
2005
Performance evaluation and design trade-offs for network-on-chip interconnect architectures.
Partha Pratim Pande, Cristian Grecu, Michael Jones, Andre Ivanov, and Resve Saleh.
IEEE transactions on Computers
2005
Design, synthesis, and test of networks on chips.
Partha Pratim Pande, Cristian Grecu, Andre Ivanov, Resve Saleh, and Giovanni De Micheli.
IEEE Design \& Test of Computers
2005
Methodologies and algorithms for testing switch-based NoC interconnects.
Cristian Grecu, Partha Pande, Baosheng Wang, Andr{\'e} Ivanov, and Res Saleh.
IEEE
2005
Timing analysis of network on chip architectures for MP-SoC platforms.
Cristian Grecu, Partha Pratim Pande, Andr{\'e} Ivanov, and Res Saleh.
Microelectronics Journal
2005
Effect of traffic localization on energy dissipation in NoC-based interconnect.
Partha Pratim Pande, Cristian Grecu, Michael Jones, Andr{\'e} Ivanov, and Res Saleh.
IEEE
2005
Destination network-on-chip.
PP Pande, C Grecu, A Ivanov, and R Saleh.
IEEE
2005
Networks on chip: Emerging interconnect infrastructures for MP-SoC platforms.
Partha Pratim Pande.
Ph.D. thesis, University of British Columbia IEEE
2004
A scalable communication-centric SoC interconnect architecture.
Cristian Grecu, Partha Pratim Pande, Andre Ivanov, and Res Saleh.
IEEE
2004
Structured interconnect architecture: a solution for the non-scalability of bus-based SoCs.
Cristian Grecu, Partha Pratim Pande, Andr{\'e} Ivanov, and Res Saleh.
IEEE
2004
Evaluation of MP-SoC interconnect architectures: A case study.
Partha Pratim Pande, Cristian Grecu, Michael Jones, Andr{\'e} Ivanov, and Res Saleh.
IEEE
2003
Design of a switch for network on chip applications.
Partha Pratim Pande, Cristian Grecu, Andre Ivanov, and Res Saleh.
IEEE
2003
High-throughput switch-based interconnect for future SoCs.
Partha Pratim Pande, Cristian Grecu, Andr{\'e} Ivanov, and Res Saleh.
IEEE
2003
Switch-based interconnect architecture for future systems on chip.
Partha Pratim Pande, Cristian S Grecu, Andr{\'e} Ivanov, and Resve A Saleh.
IEEE